An Overview On System Verilog Testbench

An Overview On System Verilog Testbench

A system Verilog testbench is a container in which the design is placed and directed by various input stimuli. The created stimulus should be used to drive the design inputs.  System Verilog Testbench or Verification environment is used to validate the functional correctness of the Design Under Test (DUT) by producing and driving a predetermined input sequence to the design, recording the design output, and comparing it to the expected output.

Self Paced VLSI courses banner

The verification environment is a collection of classes that execute certain operations, such as creating stimulus, driving, monitoring, and so on, and those classes will be named on the basis of the operation.

What are the components of a SystemVerilog testbench?

Transaction:

The Transaction is a class that holds a structure that is used to communicate with DUT. This class aids with top-level executions, offering critical information about the created stimulus to the coverage model. 

Generator: 

The generator is responsible for generating the stimulus (the transaction class is created and randomly assigned) and sending it to the driver.

Driver: 

It receives the transaction from a generator and pushes the packet-level data inside the transaction into the pin level (to DUT).

Monitor: 

It observes pin-level activity on interface signals and translates it to packet-level data, which is then delivered to components such as the scoreboard.

Scoreboard:

Data items are received from monitors and compared to predicted values.

Expected values might be golden reference values or values created by the reference model.

Agent:

An agent is a container class that groups the classes (generator, driver, and monitor) that are particular to an interface or protocol.

weekend VLSI courses banner

Environment:

The environment class serves as a container for higher-level components such as agents and scoreboards.

Test:

The test is in charge of,

  • Setting up the testbench
  • Starting the process of building testbench components.
  • Initiating the stimulation driving.

Testbench_top: 

This is the file at the top that connects the DUT and TestBench. It is made up of DUT, Test, and interface instances, with the interface connecting the DUT and the TestBench.

What’s the purpose of the system Verilog testbench?

System Verilog testbench allows to

  1. Create several sorts of input stimuli
  2. Use the created stimulus to drive the design inputs.
  3. Allow the design to handle input and produce results.
  4. Compare the output to the expected behavior to identify functional flaws.
  5. If a functional bug is discovered, modify the design to correct the problem.

Also Read Know the difference between Verilog and Systemverilog

Repeat the preceding procedures until there are no more functional faults.

Suppose, if the input is 10 bits and we wish to test all possible input values, i.e. 210-1 it is difficult to do so manually. In such instances, test benches are quite beneficial; also, tested designs are more dependable and preferred by clients. Furthermore, we may utilize test benches to get the results in the form of csv (comma-separated file), which can be used by other software for further analysis, such as Python, Excel, and Matlab, among others.

Because test benches are solely used for simulation (not synthesis), the complete set of Verilog constructs, such as keywords ‘for’, ‘display’, and ‘monitor’, may be used to write test benches.

Conclusion

To know more about System Verilog and VLSI in-depth, you can enroll yourself in one of the online VLSI courses offered by Chipedge which is the best VLSI training institute in Bangalore. It offers varied courses including DFT, RTL, Design Verification in VLSI, and much more. Contact us to know more.

Image Source

Share This Post:

Catching Bugs Early: The Power of Assertions in SV

Read More

6 Steps to Kickstart Your Career in VLSI Engineering

Read More

The Role of Layout Design Rules in VLSI

Read More

What is VLSI Programming And How Does It Impact Chip Design?

Read More

5 Common Fault Models In VLSI

Read More

8 Common Mistakes to Avoid in VLSI Job Applications

Read More

Course Categories

Subscribe to our Blog

Get the latest VLSI news, updates, technical and interview resources

Get Upto 40% OFF