What is Clock Tree Synthesis?

What is Clock Tree Synthesis?

Clock Tree Synthesis is a technique for distributing the clock equally among all sequential parts of a VLSI design. The purpose of Clock Tree Synthesis is to reduce skew and delay. Clock Tree Synthesis is provided with the placement data as well as the clock tree limitations as input. Clock Tree Synthesis (CTS) is the technique of balancing the clock delay to all clock inputs by inserting buffers/inverters along the clock routes of an ASIC design. As a result, CTS is used to balance the skew and reduce insertion latency. Before Clock Tree Synthesis, all clock pins were driven by a single clock source. Clock tree synthesis includes both clock tree construction and clock tree balance.

Clock tree inverters may create a clock tree that maintains the correct transition (duty cycle), and clock tree buffers (CTB) can balance the clock tree to fulfil the skew and latency requirements. To fulfil the space and power limits, fewer clock tree inverters and buffers should be employed.

A Clock Tree Can Have Different Structures Such As:

  • Fishbone
  • H-tree
  • X-tree
  •  Multi-level clock tree
  •  Once the Clock Tree Synthesis is complete, we must double-check the timing. 

Optimizations to the clock tree are: Buffer sizing, gate sizing, HFN synthesis, and buffer relocation are used to achieve this.

 

What Are the Inputs and Outputs of Clock Tree Synthesis?

Inputs Required for CTS are:

  • Detailed Placement Database
  • Buffers or inverters for creating the clock tree
  • Latency target if delay and skew are supplied
  • Routing layers for clock, Clock tree structure
  • DRC Clock Tree (Max Tran, Max Cap, Max fanout, Max number of buffer levels) 

Outputs for CTS are:

  • In the chip design, there is a database with a well-built clock tree. Design Exchange Format (DEF),
  • Standard Parasitic Exchange Format (SPEF), and
  • Netlists are some of the outputs of clock tree synthesis. 

Why are clock routes given precedence over signal nets?

Clock propagation occurs post-placement to ensure precise physical positioning of cells and modules, crucial for accurate delay management and operational frequency. Pre-routing, clock propagation takes precedence over signal routing, given the frequent changes in the clock signal, which serves as a primary source of dynamic power dissipation.

 

Effects of CTS are:

  • Clock buffers get added;
  •  congestion get increased;
  • non-clock cells get relocated to less desirable places;
  • Timing and maximum tran/cap violations take place. 

What are the processes involved in Clock Tree Synthesis? And what is its impact on the design?

 

Clock Tree Synthesis involves distributing the clock signal evenly and managing load balance throughout a design. It ensures that the clock signal reaches all parts of the circuit seamlessly. CTS entails the insertion of buffers or inverters along the clock paths in an ASIC design to minimize or eliminate skew, ensuring a balanced distribution. The journey starts at the clock source and ends at the clock pins of subsequent cells. The pathway from the root (clock source) to the leaf (clock sinks) is termed the clock tree. CTS is complete when the clock signal reaches the clock pins of flip-flops, which serve as the clock sinks.

Skew is the most essential worry for clock networks since it can contribute over 10% of the system cycle time owing to changes in trace length, metal width and height, coupling caps, and local clock load, local power supply, local gate length and threshold, and local temperature. The timer starts at any Clock Source and tracks forward across Combinational Arcs until it hits a flop’s Clock Pin or another Clock Source.

Before a valid Leaf, all Pins/ Timing Arcs in the forward trace are regarded to be in the clock network. Sequential elements are traced through, if it is a source of the Generated Clock. Pin or Combinational Timing Arcs that trace to a non-clock pin (e.g. D pin of FF) are not part of the Clock Tree network. Clock tracing should be made aware after Case Analysis propagation. Inverters are added to the Clock Tree for improved Duty Cycle. Limit the buffer/inverter list to only 3 or 4 buf/inv sizes.

 

What are the limitations of the Clock Tree Synthesis?

Latency, skew, maximum transition, maximum capacitance, maximum fan-out, and a list of buffers and inverters are among the clock tree limitations. Clock Tree Synthesis has several clock buffers, which can cause congestion in VLSI physical design flow, crosstalk noise, and crosstalk latency, among other things.

 

Conclusion:

One of the most significant steps of PnR is Clock Tree Synthesis (CTS). CTS QoR determines power and timing convergence. The clock uses 30-40% of total power in most integrated circuits. As a result, efficient clock design, clock gating, and clock tree implementation aid in power reduction. To learn more about clock tree synthesis join the best VLSI training institute in Bangalore.


Explore Self Paced VLSI Courses

Share This Post:

The Role of Layout Design Rules in VLSI

Read More

What is VLSI Programming And How Does It Impact Chip Design?

Read More

5 Common Fault Models In VLSI

Read More

8 Common Mistakes to Avoid in VLSI Job Applications

Read More

What is SystemVerilog: The Language for Modern Hardware Design and verification

Read More

VLSI Basics: Unveiling the Microworld

Read More

Course Categories

Subscribe to our Blog

Get the latest VLSI news, updates, technical and interview resources

Get Upto 40% OFF