Steps In VLSI Physical Design Flow

Steps In VLSI Physical Design Flow

Steps in VLSI Physical Design Flow

VLSI physical design flow is a cardinal process of converting synthesized netlist, design curtailment, and standard library to a layout as per the design rules. This layout is further sent to the foundry for the creation of the chip.

 

According to an article on Times of India, we fit more than 50 billion transistors into a single IC. There is an increasing need for intelligent ICs from the VLSI engineers. Physical design plays a crucial role in the building of ICs. VLSI Design Flow is an algorithm with definite objectives some of which consist of wire length, minimum area, and power optimization. Fundamentally VLSI starts from where design flow ends. 

Steps Involved in VLSI Physical Design Flow

1. Create a Gate-Level Netlist (After Synthesis)

The netlist is the result of the synthesis process and is the foundation for physical design. Synthesis translates RTL designs written in VHDL or Verilog HDL into gate-level specifications that can be understood by the next set of tools. The cells employed, their interconnections, the area used, and other parameters are all listed in this netlist.

2. Partitioning

The next step of partitioning helps in dividing the chip into separate chunks. This procedure is performed primarily to distinguish between distinct functional blocks and to facilitate placement and routing. When the design engineer separates the overall design into sub-blocks and then proceeds to design each module during the RTL design phase, this is known as partitioning. 

3. Floorplanning

Under this step, we calculate the dimensions of all the blocks and place them in appropriate spots on the chip. This step is performed to keep the blocks that are highly connected close to one another. 

4. Placement

Placement is the process of placing the standard cells inside the core boundary in an optimal location. The tool tries to place the standard cell in such a way that the design should have minimal congestion and the best timing. Every PnR tool provides various commands/switches so that users can optimize the design in a better way in terms of timing, congestion, area, and power as per their requirements. Based on the preferences set by the user, the tool tray is placed and optimized for better QoR. 

 

Placement does not place only the standard cells present in the synthesized netlist but also places many physical-only cells and adds buffers/inverters as per the requirement to meet the timings, DRV, and foundry requirements. Here are the basic steps that the tool performs during the placement and optimization stage. 

5. Static Time Analysis  

Static timing analysis (STA) is a method of validating the timing performance of a design by checking all possible paths for timing violations. STA breaks a design down into timing paths, calculates the signal propagation delay along each path, and checks for violations of timing constraints inside the design and at the input/output interface.

 

Another way to perform timing analysis is to use dynamic simulation, which determines the full behavior of the circuit for a given set of input stimulus vectors. Compared to dynamic simulation, static timing analysis is much faster because it is not necessary to simulate the logical operation of the circuit. STA is also more thorough because it checks all timing paths, not just the logical conditions that are sensitized by a set of test vectors. However, STA can only check the timing, not the functionality, of a circuit design.

6. Clock Tree Synthesis (CTS)  

Clock Tree Synthesis(CTS) is one of the crucial steps in VLSI design flow. It is used to reduce skew and insertion delay. This step helps distribute the clock evenly among all sequential elements of a design.

7. Routing  

Routing helps in making the links between the cells and the blocks. There are two types of routing: global routing and detailed routing. Connections are routed through global routing, which assigns routing resources. It also keeps track of a network’s assignment. Whereas, the actual connections are made by detailed routing. 

8. Physical Verification 

Physical verification ensures that the produced layout design is valid. This involves ensuring that the layout is correct and includes all technological prerequisites, density verification, cleaning density, etc.  

Conclusion

VLSI physical design flow is a complicated specialization that has grown in popularity over the last two decades. VLSI engineers have a promising future because they are required to design chips or integrated circuits that are used in nearly every device you use today.ChipEdge is one of the best VLSI training institute in Bangalore. With Chipedge’s VLSI design course you could learn all about physical design flow. 

 

Share This Post:

Exploring the Nexus of VLSI vs Embedded Systems: Unraveling the Intricacies

Read More

Best Practices for the Physical Design of IoT Solutions

Read More

Marvelous Microchip: The role of VLSI in Computers

Read More

Fabrication process in VLSI

Read More

How to Become a VLSI Engineer?

Read More

What is Latch Up in VLSI and Its Prevention Techniques?

Read More

Course Categories

Flat

40% off

On all Courses!

Subscribe to our Blog

Get the latest VLSI news, updates, technical and interview resources

Get Upto 40% OFF